Intel Core i9-12900K megatest: AMD in 2nd place again

Video encoding

The 12th generation Intel Core processors – Alder Lake is now out and we already have the full-fledged tests for you. These processors are significantly different from the previous ones in many aspects and many things are used “for the first time”. Among them are DDR5 memory support, PCI Express 5.0, 7 nm manufacturing process or hybrid concept of small and large cores. It’s time for a detailed analysis!

HandBrake

   

Test environment: For video conversion we’re using a 4K video LG Demo Snowboard with a 43,9 Mb/s bitrate. AVC (x264) and HEVC (x265) profiles are set for high quality and enoder profiles are “slow”. HandBrake version is 1.3.3 (2020061300).

x264 and x265 benchmarks




SVT-AV1

Test environment: We are encoding a short, publicly available sample park_joy_2160p50.y4m: uncompressed video 4096 × 2160 px, 8bit, 50 fps. Length is 500 frames with encoding quality set to 6 which makes the encoding still relatively slow. This test can make use of the AVX2 i AVX-512 instructions.

Version: SVT-AV1 Encoder Lib v0.8.7-61-g685afb2d via FFMpeg N-104429-g069f7831a2-20211026 (64bit)
Build from: https://github.com/BtbN/FFmpeg-Builds/releases
Command line: ffmpeg.exe -i “park_joy_2160p50.y4m” -c:v libsvtav1 -rc 0 -qp 55 -preset 6 -f null output.webm


  •  
  •  
  •  
Flattr this!

Comments (2) Add comment

    1. We decided to treat is as a 7nm process, since it more or less reflects reality, and Intel more or less wants it to be treated like that. The “Intel 7” does not actually say “nm” anywhere, but the follow up processes will actually be marketed like that. The 20A and 18A processes actually refer to Angstroms, which are non-SI units meaning 0.1nm. So those processes are officially 2nm and 1.8nm when it comes to Intel messaging.

      But when we put that aside, in my opinion it is more useful than not to use “7nm” for the Alder Lake chips. Intel’s 10nm process was in fact roughly comparable to TSMC’s N7 (7nm) process, once Intel got rid of the problems (in the SuperFin or Enhanced SuperFin versions). It is not equal parity in everything, but these technologies can be considered competitive. Calling it 10nm makes it look the process is worse than it is if people assume it is similar to 10nm Samsung or TSMC process node.
      We would basically have to keep reminding people that “Intel’s 10nm is on the level of TSMC’s 7nm”. And it’s not practical to to keep repeating that every time. Ideally this should have been done earlier so that the products were not referred to as 10nm for 2-3 years in press.

      In isolation, the process renaming would not be a good thing, but in a way, Intel only did what TSMC and Samsung did before them. Their processes could be said to be renamed already, so Intel just caught up with that marketing. (Not saying they are at the exact same tech level, but it’s in the ballpark for better or worse).

Leave a Reply

Your email address will not be published. Required fields are marked *