Intel ohlásil 1,4nm výrobní proces, první s technologií high-NA

Výrobní proces Intel 14A představen: Budoucnost křemíkové technologie Intelu

Během letošního roku by Intel měl dokončit 2nm a 1,8nm výrobní proces (které jsou oficiálně označené Intel 20A a Intel 18A), což má být vrchol jeho plánu vyvinout postupně pět procesů (Intel 7, 4, 3, 20A a 18A) během čtyř let a dohnat tak technologický náskok, který před ním teď má TSMC. Teď firma odhalila další krok, který přijde po tomto cyklu, a plán na vylepšené procesy, připomínající známé přidávání plusů u 14nm technologie.

Intel nyní po vydání procesorů Meteor Lake může hlásit, že má v prodeji čipy vyráběné svým prvním EUV procesem (Intel 4). Jeho následník Intel 3 je již teď podle firmy připravený k výrobě, ale její vlastní 3nm produkt (serverové procesory Granite Rapids a Sierra Forest) ještě vydaný není. Letos budou následovat procesy Intel 20A a 18A s tranzistory typu GAAFET (Intel jim říká RibbonFET) a technologií PowerVIA.

Tyto technologie by mohly být přelomové, srovnatelné s příchodem tranzistorů FinFET. Intel 20A by měl být hotový v první, Intel 18A v druhé polovině letošního roku – je ale možné, že v produktech bude využitý až příští rok.

Intel 14A s High-NA

Nyní na konferenci Direct Connect, která se věnuje foundry byznysu Intelu, firma oznámila další krok následující pro 2nm a 1,8nm procesu. Tím je proces označený Intel 14A. Mělo by to značit 14 angströmů, čili 1,4nm proces.

Co jsou angströmy / co znamená Intel 18A?

Angström se značkou A je méně často používaná jednotka odpovídající přesně jedné desetině nanometru. 20 A proto znamená 2 nm. Procesy tzv. angströmové éry, jak jim Intel říká, jsou značené například Intel 20A nebo 18A, čímž je implikováno, že je to 20A = 2nm a 18A = 1,8nm proces.

Mějte ale na paměti, že tato nanometrová čísla jsou u všech firem v podstatě arbitrární, lze říci odtržená od nějaké fyzické reality, což ale nemyslíme pejorativně. Struktury v čipu jsou vždy větší a řada těchto čísel označujících procesy má vyjadřovat relativní pokrok proti předchozí technologii, ne absolutní rozměrové parametry.

Současně samozřejmě tato čísla také může ohýbat a přifukovat marketing.

Pokročilé pouzdření čipletových GPU akcelerátorů Ponte Vecchio v továrně Intel Foundry

K tomu, o kolik by měl být tento proces lepší proti technologii Intel 18A, zatím nejsou údaje, Intel nepublikoval ani projekce hustoty tranzistorů nebo údaje o fyzických dimenzích. Bylo ale sděleno, že 1,4nm proces bude první, který aplikuje technologie High-NA (High Numerical Aperture).

Co je to technologie High-NA?

High-NA je nadstavba nad současnou EUV litografií, která má dále zlepšit schopnost extrémního ultrafialového záření formovat na čipu extrémně malé struktury.

Dělá to pomocí anamorfní optiky s většími zrcadly, která zvyšuje numerickou aperturu z 0,33 na 0,55, což by mělo umožnit tvorbu 1,7násobně menších (o 41% zmenšených) tranzistorů. Realizovat to je samozřejmě velmi složité a jsou zde i nevýhody, tímto zmenšením se současně na polovinu zmenšuje i plocha, kterou High-NA EUV stroj na waferu ozařuje. Zaplnit celý wafer tedy vyžaduje dvojnásobek expozic. Také se tím zřejmě zmenší maximální velikost čipu, takže například velká highendová GPU už budou nutně muset být čipletová.

Je zajímavé, že nástup EUV (až u 4nm čipů / procesu Intel 4) měl Intel hodně opožděný, ale High-NA systémy Twinscan EXE od ASML podle tohoto bude nasazovat jako první. Přinese to asi vyšší výrobní náklady, ale lepší výsledky. Alternativou High-NA je používat dvojitou expozici EUV, což je také technicky schůdné. Intel uvádí, že toto je záložní možnost a je připraven ji využít, pokud by se ukázalo, že agresivní nasazenou High-NA by provázely problémy a zablokovalo by to včasný rozjezd 1,4nm technologie.

Reálný příchod 2027

Tato technologie bude v praxi ve výrobě až za značnou dobu. Tzv. risk production, což je zkušební výroba ve fázi, kdy ještě technologie nemá parametry plně vyladěné a výtěžnost je nízká, má nastat na konci roku 2026. Komerční nasazení tedy bude až v roce 2027, je možné, že reálně prodávané 1,4nm procesory budou také třeba až na konci, nebo přinejlepším v druhé polovině roku 2027. Bavíme se tedy o době až za skoro čtyři roky.

Je zde samozřejmě i možnost, že dojde k problémům a nasazení bude opožděno. V praxi by asi proces Intel 14A tedy měl konkurovat 2nm procesu TSMC. A je možné, že to bude zhruba sedět i parametrově, lze mít podezření, zda u procesů Intel 3, 20A a18A možná čísla trošku předbíhají realitu oproti nanometrovým číslům procesů TSMC.

Také Samsung má naplánovaný 1,4nm proces zhruba na rok 2027, ale i zde je možné, že technologie bude reálně konkurovat 2nm od TSMC.

Výroba čipů v továrně Intel Foundry

Vylepšené procesy s plusky

Intel oznámil také návrat inkrementálních vylepšení procesů, které dříve označovalo plus, například 14nm+. Toto se zřejmě reálně dělo i u procesu Intel 7 (varianta použitá pro Raptor Lake má prý neoficiální označení Intel 7 Ultra), ale Intel od značení těchto postupných ladění technologie upustil, asi protože je extrémní množství „plusů“, které se nakupilo u 14nm procesu, poněkud zprofanovalo.

Proces 14A má již oznámenou vylepšenou verzi 14A-E. Písmeno „E“ bude u procesů označovat nějaké rozšíření schopností, což u Intel 14A-E má být schopnost pracovat s vyššími napětími a teplotami (a tím i dosahovat potenciálně vyšších frekvencí – mohlo by jít o něco, jako jsou HPC procesy TSMC značené „X“).

Tento proces má mít určité zlepšení výkonu či energetické efektivity i obecně, bez využití vyšších napětí. Zlepšení u variant E mají ale být jen v menších jednotkách procent. Vedle procesu Intel 14A-E má být takováto vylepšená varianta dostupná také od 16nm procesu (16-E) a od 3nm procesu (3-E).

Vedle toho budou existovat také vylepšené procesy značené písmenem „P“. To znamená jednoduše Performance, tyto procesy budou proti své základní technologii přinášet vyšší zlepšení energetické efektivity a výkonu, má jít o zlepšení typicky o nějakých 5–10 %. V podstatě tedy asi jde o to, co kdysi Intel značil oním pluskem. Vylepšení větší než o 10 % už budou záležitostí úplně nového procesu, takové zlepšení by už dostalo přímo menší číslo.

Tyto vylepšené verze P jsou nyní plánované pro 3nm proces (následně po procesu Intel 3-E). Ne všechny tyto různé technologie budou přímo používat procesory Intel, mají zejména být dostupné pro externí zákazníky a dávat jim větší možnosti.

Roadmapa křemíkových procesů Intelu ukázaná na konferenci Direct Connect 2024

TSV procesy

Kromě toho bude od některých procesů také vyvinuta varianta „T“, ta je zatím oznámená pro 3nm proces (Intel 3-T a 3-PT, příponová zlepšení se totiž mohou i kombinovat). „T“ znamená, že lze u čipů použít technologii Through-Silicon Vias, kdy jsou přes křemík vyhloubeny kanály, v nichž je vytvořen měděný vodič propojující horní stranu křemíku s vrstvami měděných spojů na spodní straně, takže je pak možné na čip osadit další, tzv. 3D stacking. Jde o přesně tu technologii, kterou AMD (pomocí továren TSMC) používá u procesorů s 3D V-Cache.

Je zajímavé, že koncepce Intelu je trošku jiná. Zatímco AMD (TSMC) používá TSV u špičkových procesů, Intel ho chce jenom u o trošku starších, což bude eventuálně 3nm proces v době, kdy se špičkové čipy, respektive čiplet už budou vyrábět na 1,8nm, nebo 1,4nm procesu. V koncepci Intelu to má být tak, že méně kritický kus křemíku, například IO čiplet, který je vyráběný horším procesem, je vespod a výpočetní čiplet je vyráběný nejnovějším procesem a osazený nahoře. Proto nemusí mít TSV, které budou vytvořeny v onom křemíku vyráběném starším procesem. Pokud by se třeba Ryzeny 7000X3D vyráběly tímto stylem, měly by čiplet s cache vespod pod CPU čipletem a TSV by procházely skrze cache. Toto by se určitě lépe chladilo, ale pak by se CPU čiplet nedal používat samostatně bez přídavné cache.

Výroba procesorů a GPU v továrně Intel Foundry

Doplněk nabídky 12nm proces vyvinutý s UMC, 65nm technologie Tower Semi

Vedle těchto pokročilých procesů vyvinutých v Intelu má ale Intel v plánu ještě další technologie používající starší a levnější metody, které jsou určené pro produkty nevyžadující to nejlepší (a nejdražší). Toto bude nedávno oznámený proces Intel 12, který bude Intel nabízet ve svých továrnách, ale bude vyvinutý ve spolupráci s UMC. A podobně má být součástí služeb Intelu také 65nm proces, který zase bude od Tower Semiconductoru, firmy, kterou chtěl Intel přímo koupit, ale nepodařilo se mu to.

Tyto procesy budou zaměřené asi čistě na foundry služby pro externí klienty. Nebudou asi použité u procesorů nebo GPU Intelu, i když by se asi posléze mohly objevit třeba u Wi-Fi, Ethernetu, čipsetů a podobných sekundárních produktů.

Zdroje: Intel, AnandTech

Jan Olšan, redaktor Cnews.cz


  •  
  •  
  •  
Flattr this!

Samsung: 2nm čipy budou za tři roky, 1,4nm proces v roce 2027

Intel plánuje (oficiálně) na rok 2024 nový výrobní proces „Intel 18A“, tedy lze říci 1,8nm proces. V letech následujících by se tedy měly začít na trhu vyskytovat čipy vyráběné na technologiích označeních desetinnými čísly pod hranicí 2 nm. Nejvíce se asi po právu čeká od TSMC, ale také korejský Samsung nemíní ze závodů odstoupit. Tato firma teď oznámila plány na dokonce 1,4nm (14ångströmový) výrobní proces. Celý článok „Samsung: 2nm čipy budou za tři roky, 1,4nm proces v roce 2027“ »

  •  
  •  
  •  

Pridaj komentár

Vaša e-mailová adresa nebude zverejnená. Vyžadované polia sú označené *